尽管供应链受到限制,Lam Research报告2022年第二季度收入创纪录

尽管供应链受到限制,Lam Research报告2022年第二季度收入创纪录

全球创新晶圆制造设备供应商Lam Research报告了2022年第二季度的创纪录业绩,超出了其指导范围,这要归功于晶圆代工/逻辑方面的强劲支出,超过了NAND和DRAM投资。净收入达到创纪录的46亿美元,同比增长12%。

Lam革命性的产品平台,主要由系统创新和安装基础以及全球多样化的制造和更强大和更深入的客户合作推动,将有助于在长期内实现收入增长。

第二季度更新

  • 创纪录的46亿美元收入和8.83美元的每股收益远远超出指导范围,这要归功于强大的执行力和供应链行动,推动了供应受限环境的改善。
  • 客户支持业务集团(包括备件、服务、升级和Reliant类业务)的收入达到创纪录的16.3亿美元,同比增长18%。大部分增长来自备件和Reliant业务,因为客户的晶圆厂利用率较高,并且在射频和功率器件等专业市场领域的投资增加。
  • 由于客户在领先和专业节点设备上的广泛支出,代工部门的收入占系统总收入的26%。
  • 由于市场对微处理器、模拟组件、图像传感器和先进封装解决方案的需求,逻辑和其他部门也见证了创纪录的业绩,占系统收入的20%。
  • 按地区划分,中国大陆贡献了31%,韩国24%,台湾19%。中国的技术出货限制对第二季度收入的影响最小,因为14纳米以下节点的晶圆厂工艺工具的出货量很少。
  • 由于高级节点活动的增加,铸造/逻辑方面的支出将继续增加。从长远来看,任何对EUV在大批量制造中采用的关键设备销售的限制都将影响收入增长。

Counterpoint Research拉姆图表-收入

来源:Lam Research收益,对位研究晶圆厂设备跟踪器对位研究拉姆分段图表1来源:Lam Research收益,对位研究晶圆厂设备跟踪器

第三季度指导

  • 营收将在46亿美元至52亿美元之间。
  • 毛利率将在44%至46%之间。
  • 每股收益将在8.75美元至10.25美元之间。

季度发展

Lam开创了一种新的沉积技术,称为SPARC,用于沉积超薄碳化硅薄膜层,具有所需的稳健性,可以在下游加工中存活。

SPARC通过将Lam独特的等离子体能力与化学和工艺工程相结合,实现了先进逻辑和DRAM的性能和技术扩展。随着全能门(gate-全能,GAA)体系结构的出现,SPARC技术将在未来的广泛用例中得到应用。

机会

Lam的创新产品提供了解决方案,以应对采用5G和Wi-Fi 6/6E无线技术和干抗技术的挑战,这将有助于获得大量的市场份额。从长远来看,关键技术的变化和合作为林超贤提供了巨大的机会。

关键技术

5G和Wi-Fi 6/6E:

5克通过在无线频谱中利用更大的无线电频率,提供更大的带宽、更快的连接和更低的延迟。确保5G和新一代共存wi - fi 6/6E实现无缝无线连接是极具挑战性的,因为对允许波段内信号分离的射频滤波器的技术要求很高。

高通量掺杂sc层的沉积和刻蚀是射频滤波器制造的关键步骤之一。Lam收购了Solmates,这是AlScN薄膜聚焦沉积的先驱,具有一流的Sc掺杂水平和薄膜性能。此次收购将补充Lam在高质量薄膜沉积、高腐蚀速率和高生产率选择性方面的专业知识。

射频滤波器与其他器件如射频开关、低噪声放大器、功率放大器和天线调谐器相结合形成复杂的结构射频模块解决方案,并使用RF-CMOS(互补金属氧化物半导体)或RF-SOI(绝缘体上硅)技术制造。这些器件具有特定的制造方案,允许将电容器和电感器集成到线路后端(BEOL)中,这对于器件在高频下的高效运行至关重要。

这些额外的集成BEOL步骤为Lam的沉积和蚀刻系统提供了巨大的机会,并将使芯片制造商能够实现支持5G和Wi-Fi 6/6E共存所需的更高频率和带宽。

防干技术:

这项技术最初由Lam与ASML和IMEC合作开发,用于提高分辨率、生产率和成品率EUV光刻技术,解决与DRAM和逻辑技术创造相关的关键挑战。干阻剂开发技术将帮助Lam在未来数十亿美元的光刻胶设备行业中增加其服务目标市场。

阻光剂在光刻过程中起着关键作用,理想情况下应具有高分辨率,低线边缘粗糙度和高灵敏度。随着芯片制造商转向先进技术节点,在制图中使用传统的自旋液体抗蚀剂会导致图像模糊,降低分辨率并增加线边缘粗糙度,对设备性能产生不利影响。

从根本上说,自旋液体抗蚀剂在粘度和表面附着力方面有局限性,导致了光刻性能的不良权衡。干式抗蚀剂技术涉及小型金属有机单元的干式沉积,提供了通过改变沉积和开发时间来改变抗蚀剂厚度的独特能力,克服了粘度、化学保质期和其他影响自旋抗蚀剂的限制。

Lam多年来成熟的干抗和开发专业知识将加速行业向EUV光刻技术未来节点应用的过渡,并通过精确、低缺陷和低成本的图形实现先进逻辑和存储设备的持续扩展。该方法还将为客户节省大量运营成本,为环境、社会和治理(ESG)措施提供更可持续的解决方案。

合作

Lam和SK海力士:

SK海力士将使用Lam的干式抗蚀剂衬底和干式开发工艺进行高级开发动态随机存取记忆体模式。随着DRAM的不断扩展,EUV模式的创新对于以更低的成本提供当今日益连接的设备所需的性能至关重要。与SK海力士以及生态系统合作伙伴的合作将有助于消除与EUV光刻技术扩展相关的障碍。

Lam, Gelest和entrgris:

Lam与Gelest和integris的合作将推动EUV干抗技术生态系统,为未来的逻辑和DRAM产品器件世代提供支持。

此次合作对于将EUV干抗蚀剂技术集成到大批量生产中至关重要,并将提供:

  • 半导体材料领导者的双源供应。
  • 确保对EUV采用至关重要的工艺化学品的稳健供应链。
  • 拓宽抗旱技术的生长生态系统。
  • 减少未来供应链中断的影响。

该合作伙伴关系还将加速开发未来高数值孔径的高成本效益EUV干阻解决方案(high-NAEUV模式,被广泛认为是未来几十年半导体技术持续扩展和进步所需要的模式技术。

关键的外卖

  • 与生态系统参与者的合作将减轻未来供应链中断的影响。
  • Lam的干抗蚀剂和显影技术将加速行业向EUV光刻未来节点应用的过渡。
  • Lam的长期增长看起来强劲,因为其差异化的蚀刻和沉积设备组合,用于制造更高性能和更可扩展的设备架构。
  • 中国的出货限制对第二季度收入的影响最小,因为14纳米以下节点的晶圆厂工艺工具的出货量很少。