林研究报告称,尽管供应链受限,2022年第二季度营收仍创下纪录

林研究报告称,尽管供应链受限,2022年第二季度营收仍创下纪录

创新晶圆制造设备全球供应商Lam Research公布,其2022年第二季业绩创下纪录,超出其预估区间,因代工/逻辑业务支出强劲,超过NAND和DRAM投资增长。净收入达到创纪录的46亿美元,同比增长12%。

Lam革命性的产品平台,主要由系统创新和安装基础,以及全球多样化的制造和更强大和更深入的客户合作驱动,将有助于产生长期的收入增长。

第二季度更新

  • 得益于强劲的执行力和供应链行动,在供应受限的环境中推动了改善,公司营收达到创纪录的46亿美元,每股收益达到8.83美元,远高于预期范围。
  • 来自客户支持业务组(包括备件、服务、升级和依赖类业务)的收入达到了创纪录的16.3亿美元,同比增长18%。大部分增长来自备件和Reliant业务,因为客户的晶圆厂利用率很高,而且在RF和电源设备等专业市场领域的投资增加。
  • 代工部门的收入占系统总收入的26%,原因是客户在领先和特殊节点设备上的广泛支出。
  • 由于市场对微处理器、模拟组件、图像传感器和先进封装解决方案的需求,逻辑和其他部分也见证了创纪录的表现,占系统收入的20%。
  • 按地区划分,中国大陆、韩国和台湾分别贡献了31%、24%和19%。中国的技术出货量限制对第二季度收入的影响最小,因为14纳米以下节点的晶圆厂的工艺工具出货量很少。
  • 由于高级节点生产活动的增加,铸造/逻辑方面的支出将继续增加。从长远来看,任何限制EUV设备销售的措施都将影响营收的增长。

对比研究Lam-chart-revenue

来源:林研究收益,对位研究晶圆厂设备跟踪对位研究逐段图表1来源:林研究收益,对位研究晶圆厂设备跟踪

第三季度指导

  • 收入将在46亿美元到52亿美元之间。
  • 毛利率在44%到46%之间。
  • 每股收益在8.75美元至10.25美元之间。

季度发展情况

Lam开创了一种名为SPARC的新沉积技术,用于沉积超薄碳化硅薄膜,并具有在下游加工过程中生存所需的坚固性。

SPARC通过将Lam独特的等离子体能力与化学和工艺工程相结合,实现了先进逻辑和DRAM的性能和技术扩展。随着GAA (gate-全能)架构的出现,SPARC技术将在未来得到广泛的应用。

机会

在5G和Wi-Fi 6/6E无线技术和干阻技术的应用方面,Lam的创新产品提供了解决方案,这将有助于获得大量的市场份额。从长远来看,关键技术的变化和合作为Lam提供了巨大的机会。

关键技术词形变化

5G和Wi-Fi 6/6E:

5克通过利用无线频谱中更大的无线电频率,提供更大的带宽,更快的连接和更低的延迟。确保5G与新一代并存wi - fi 6/6E由于对射频滤波器的技术要求,使得该频段的信号能够被分离,因此实现无缝无线连接是一项极具挑战性的工作。

制备高通量的sc掺杂层是射频滤波器制造的关键步骤之一。Lam收购了Solmates,该公司是专注沉积AlScN薄膜的先驱,具有一流的Sc掺杂水平和薄膜性能。此次收购将补充Lam在高质量薄膜沉积、高刻蚀率和高生产率选择性方面的专长。

射频滤波器与其他器件如射频开关、低噪声放大器、功率放大器和天线调谐器相结合,形成复杂的组合射频模块解决方案,并使用RF-CMOS(互补金属氧化物半导体)或RF-SOI(绝缘体上硅)技术制作。这些器件具有特定的制造方案,允许将电容和电感集成到线路的后端(BEOL),这对于器件在高频率下的高效运行至关重要。

这些额外的集成BEOL步骤为Lam的沉积和蚀刻系统提供了巨大的机会,并将使芯片制造商实现更高的频率和带宽,以支持5G和Wi-Fi 6/6E共存。

干抵制技术:

该技术首先由Lam与ASML和IMEC合作开发,以扩大分辨率、生产率和产量EUV光刻技术,解决与创造DRAM和逻辑技术相关的关键挑战。干式抗蚀剂开发技术将帮助蓝氏在未来数十亿美元的光刻胶设备行业扩大其服务市场。

抗蚀剂在光刻工艺中起着至关重要的作用,理想情况下应具有高分辨率、低线边缘粗糙度和高灵敏度。当芯片制造商转向先进技术节点时,使用传统的自旋液体阻会导致图像模糊,降低分辨率,增加线边缘粗糙度,对设备的性能产生不利影响。

从根本上说,自旋液体电阻在粘度和表面粘附性方面有局限性,导致在光刻性能方面的不良权衡。干阻技术包括小金属有机单元的干沉积,通过改变沉积和发育时间,提供了改变抗旋剂厚度的独特能力,克服了粘度、化学保质期和其他可能影响抗旋剂的限制。

Lam多年来成熟的干阻和开发专业知识将加速行业向EUV光刻的未来节点应用的过渡,并通过精确、低缺陷和低成本的图形化实现先进逻辑和存储设备的持续扩展。干阻技术还将为客户节省大量运营成本,并为环境、社会和治理(ESG)措施提供更可持续的解决方案。

合作

〇林社长和SK海力士

SK海力士将使用林氏的干阻底层和干式显影工艺进行先进化动态随机存取记忆体模式。随着DRAM规模的不断扩大,EUV图形的创新对于以更低的成本提供当今日益互联的设备所需的性能至关重要。与SK海力士以及生态系统合作伙伴的合作将有助于消除与EUV光刻技术的规模化相关的障碍。

Lam、Gelest和entergris:

Lam与Gelest和entergris的合作将推进EUV干阻技术生态系统,用于逻辑和DRAM产品的未来设备世代。

此次合作对于EUV干阻技术融入大批量生产至关重要,并将提供:

  • 半导体材料龙头双源供应。
  • 确保对EUV采用至关重要的工艺化学品的稳健供应链。
  • 拓宽抗干性技术的生长生态系统。
  • 减少未来供应链中断的影响。

该合作伙伴关系还将加速未来高数值孔径(high-NA) EUV图形化技术,被广泛认为是未来几十年半导体技术持续扩展和发展所需的图形化技术。

关键的外卖

  • 与生态系统参与者的合作将缓解未来供应链中断的影响。
  • Lam的干阻和开发技术将加速行业向EUV光刻的未来节点应用过渡。
  • Lam的长期增长看起来很强劲,因为其差异化的蚀刻和沉积设备组合,用于制造更高性能和更可扩展的设备架构。
  • 中国的发货限制对第二季度收入的影响最小,因为14纳米以下节点的晶圆厂的工艺工具出货量很少。